Imikhiqizo

SPC5604PEF1MLQ6 (Igeji yemoto yangempela)

Incazelo emfushane:

Ingxenye ye-Boyad Inombolo: 568-14148-ND

umkhiqizi:Inkampani NXP USA INC.

Inombolo yomkhiqizo: SPC5604PEF1MLQ6

chaza: IC MCU 32BIT 512KB FLASH 144LQFP

Isikhathi sokuletha esijwayelekile sasembonini: amaviki angama-52

Incazelo Eningiliziwe:i-e200z0h yochungechunge lwe-microcontroller IC 32-bit single core 64MHz 512KB (512K x 8) Flash 144-LQFP (20×20)

Inombolo Yengxenye Yekhasimende Yangaphakathi

Imininingwane:Imininingwane


Imininingwane Yomkhiqizo

Omaka bomkhiqizo

izakhiwo zomkhiqizo:

UHLOBO CHAZA
isigaba I-Integrated Circuit (IC) Kushumekiwe - Ama-Microcontrollers
umkhiqizi Inkampani NXP USA INC.
uchungechunge I-MPC56xx Qorivva
Iphakheji ugqoko
isimo somkhiqizo kukhona kusitoki
core processor e200z0h
Ukucaciswa kwe-kernel 32-bit umongo owodwa
isivinini 64MHz
Ukuxhumana CANbus,FlexRay,LINbus,SPI,UART/USART
Amapheripheral DMA , POR , PWM , WDT
Inombolo ye-I/O 108
Umthamo wesitoreji sohlelo 512KB (512K x 8)
Uhlobo lwenkumbulo yohlelo flash
Umthamo we-EEPROM 64k x8
Usayizi we-RAM 40K x 8
I-Voltage - Power Supply (Vcc/Vdd) 3V ~ 5.5V
isiguquli sedatha I-A/D 30x10b
Uhlobo lwe-Oscillator yangaphakathi
Izinga lokushisa lokusebenza -40°C ~ 125°C (TA)
uhlobo lokufaka Uhlobo Lwentaba Engaphezulu
Iphakheji/Indawo Evalekile 144-LQFP
Ukupakishwa Kwedivayisi Yomhlinzeki 144-LQFP (20x20)
Inombolo yomkhiqizo eyisisekelo I-SPC5604

Ukuhlelwa Kwemvelo Nokuthekelisa:

IZIMPAWU CHAZA
Isimo se-RoHS Ihambisana nokucaciswa kwe-ROHS3
Izinga Lokuzwela Komswakama (MSL) 3 (amahora angu-168)
REACH isimo Imikhiqizo engafinyeleli
BALEKA 3A991A2
HTSUS 8542.31.0001

Isifinyezo sebhulokhi yochungechunge lwe-MPC5604P:
Umsebenzi wokuvimba
I-Analog-to-digital converter (ADC) Iziteshi eziningi, i-10-bit analog-to-digital converter
Imojula yokusiza ukuqalisa (BAM) Ibhulokhi yememori efundwayo kuphela equkethe ikhodi ye-VLE esetshenziswa ngokuya ngayo
imodi yokuqalisa idivayisi
Imojuli yokukhiqiza iwashi
(MC_CGM)
Ihlinzeka ngokunengqondo nokulawula okudingekayo ekukhiqizeni isistimu ne-peripheral
amawashi
Inethiwekhi yendawo yesilawuli (i-FlexCAN) Isekela iphrothokholi yezokuxhumana ye-CAN ejwayelekile
I-Cross triggering unit (CTU) Inika amandla ukuvumelanisa kokuguqulwa kwe-ADC ngomcimbi we-timer kusuka ku-eMIOS
noma kusuka ku-PIT
I-Crossbar switch (XBAR) Isekela ukuxhumana ngesikhathi esisodwa phakathi kwezimbobo ezinkulu ezimbili nezigqila ezintathu
amachweba;isekela ububanzi bebhasi lamabhithi angu-32 kanye nobubanzi bebhasi ledatha engu-32-bit
I-Cyclic redundancy check (CRC) CRC checksum generator
I-Deserial peripheral interface
(DSPI)
Inikeza isikhombimsebenzisi se-serial esivumelanayo sokuxhumana namadivayisi angaphandle
Ukufinyelela kumemori eqondile okuthuthukisiwe
(i-eDMA)
Yenza ukudluliswa kwedatha okuyinkimbinkimbi ngokungenelela okuncane okuvela kumcubunguli womsingathi
ngamashaneli “n” ahlelekayo
Isibali sikhathi esithuthukisiwe (i-eTimer) Ihlinzeka ngokubala kwemodulo ehlelekekayo phezulu/phansi
Iphutha lemojuli yesimo sokulungisa
(ECSM)
Inikeza inqwaba yemisebenzi yokulawula ehlukahlukene yedivayisi okuhlanganisa
ulwazi olubonakalayo lohlelo mayelana namazinga okumisa kanye nokubuyekeza, ukusetha kabusha
irejista yesimo, isilawuli sokuvuka sokuphuma kumamodi okulala, nezici ozikhethela
njengolwazi lwamaphutha enkumbulo abikwe ngamakhodi okulungisa amaphutha
I-oscillator yangaphandle (XOSC) Ihlinzeka ngewashi elikhiphayo elisetshenziswa njengereferensi yokokufaka ye-FMPLL_0 noma njengereferensi
iwashi lamamojula athile kuye ngezidingo zesistimu
Iyunithi yokuqoqwa kwamaphutha (FCU) Ihlinzeka ngokuphepha okusebenzayo kudivayisi
Imemori ye-Flash Inikeza isitoreji esingaguquki sekhodi yohlelo, ama-constants kanye neziguquguqukayo
Imvamisa-modulated
iluphu evaliwe ngesigaba (FMPLL)
Ikhiqiza amawashi esistimu enesivinini esikhulu futhi isekela imvamisa ehlelekayo
ukuguquguquka
Isilawuli Sokuphazamisa (INTC) Sinikeza ukuhlela kwangaphambili okusekelwe kuqala kwezicelo zokuphazamiseka
Isilawuli se-JTAG Sihlinzeka ngezindlela zokuhlola ukusebenza kwe-chip nokuxhumana ngesikhathi kusasele
kukhanya kulogic yesistimu uma ingekho kumodi yokuhlola
Isilawuli se-LINFlex Silawula inombolo ephezulu yemilayezo ye-LIN (Local Interconnect Network protocol).
kahle ngomthwalo omncane ku-CPU
Imojuli yokungena yemodi (MC_ME) Ihlinzeka ngendlela yokulawula imodi yokusebenza kwedivayisi nemodi
ukulandelana kwezinguquko kuzo zonke izimo zokusebenza;futhi ilawula iyunithi yokulawula amandla,
setha kabusha imojula yokukhiqiza kanye nemojuli yokukhiqiza iwashi, futhi ubambe i
ukumisa, ukulawula kanye namarejista wesimo afinyeleleka ezinhlelweni zokusebenza
I-Periodic interrupt timer (PIT) Ikhiqiza ukuphazamiseka ngezikhathi ezithile nezibangeli
Ibhuloho le-peripheral (PBRIDGE) Isixhumi esibonakalayo phakathi kwebhasi lesistimu kanye nama-peripherals aku-chip
Iyunithi yokulawula amandla (MC_PCU) Yehlisa ukusetshenziswa kwamandla kukonke ngokunqamula izingxenye zedivayisi
kusuka ekunikezeni amandla ngedivayisi yokushintsha amandla;izingxenye zedivayisi
kuqoqwe ngezigaba ezibizwa ngokuthi “izizinda zamandla” ezilawulwa yi-PCU


  • Okwedlule:
  • Olandelayo:

  • Shiya Umlayezo Wakho

    Imikhiqizo Ehlobene

    Shiya Umlayezo Wakho